alliance-support '2000
Re: registers and vasy


Ludovic JACOMME (Ludovic.JACOMME@asim.lip6.fr)
Mon, 7 Aug 2000 12:10:35 +0200

Hi, Get the last version on the following URL: http://asim.lip6.fr/~ludo/alliance/download/vasy/ Regards, Ludo. On Sat, Aug 05, 2000 at 06:04:58AM +0000, Andres Farfan wrote: > Hi there, > > I'm working with VASY 4.0.6 and I've found a problem with the registers > generation, this is a simple behavioral dff description: > > --------------------------------------------------------------- > library ieee; > use ieee.std_logic_1164.all; > > ENTITY vasy_test IS > PORT ( > d : in std_logic_vector(7 downto 0); > clk : in std_logic; > q : out std_logic_vector(7 downto 0)); > END vasy_test; > > architecture VBE of vasy_test is > > begin > clocked : process(clk) > begin > if(clk'event and clk='1') then > q <= d; > end if; > end process clocked; > end vbe; > ------------------------------------------------------------- > > After running VASY, we get: > > >vasy -V -I vhd -a vasy_test vasy_test > > ------------------------------------------------------------- > ENTITY vasy_test IS > PORT( > d : IN BIT_VECTOR(7 DOWNTO 0); > clk : IN BIT; > q : OUT REG_VECTOR(7 DOWNTO 0) REGISTER -- Error??? > ); > END vasy_test; > > ARCHITECTURE VBE OF vasy_test IS > > BEGIN > > LABEL0 : BLOCK ((clk = '1') AND NOT(clk'STABLE) ) > BEGIN > q <= GUARDED d; > END BLOCK LABEL0; > END VBE; > ------------------------------------------------------------- > > > But when I run BOP, REG_VECTOR is not accepted as a valid port mode, > so it's necessary using a intermediate signal: > > ------------------------------------------------------------- > ENTITY vasy_ok IS > PORT( > d : IN BIT_VECTOR(7 DOWNTO 0); > clk : IN BIT; > q : OUT BIT_VECTOR(7 DOWNTO 0) > ); > END vasy_ok; > > ARCHITECTURE VBE OF vasy_ok IS > > signal sq : REG_VECTOR(7 downto 0) REGISTER; > > BEGIN > > LABEL0 : BLOCK ((clk = '1') AND NOT(clk'STABLE) ) > BEGIN > sq <= GUARDED d; > END BLOCK LABEL0; > > q <= sq; > > END VBE; > ------------------------------------------------------------- > > Ok, now my question: > > Is this a bug? or I'm doing something wrong? > Was this problem corrected in VASY 4.0.7? > > I tried to run VASY 4.0.7 but it crashed, i got a > Segmentation Fault error. > > > > Thanks in advanced, > > > Andres FARFAN > ________________________________________________________________________ > Get Your Private, Free E-mail from MSN Hotmail at http://www.hotmail.com -- (_) ___ Ludovic JACOMME _ _ ( ) ( ) ( ) ( 6 ) Laboratoire LIP6, Equipe ASIM ( ) (_) ( _ ) Couloir 55-65, 2eme etage, ( )___ ( ) Universite P. et M. Curie (P6) (_____) (_) 4 place Jussieu, 75252 Paris Cedex 05 Tel: (33) 01.44.27.54.15 Fax: (33) 01.44.27.72.80 ICQ: 62526530 mailto: Ludovic.Jacomme@asim.lip6.fr http: //asim.lip6.fr/~ludo

 



Alliance Web Site © 1997, 2002 ASIM/LIP6/UPMC, page maintained by Czo [Olivier Sirol] , last updated on 22 March 2001.