development/languages

ghdl - A VHDL simulator, using the GCC technology

Website: http://ghdl.free.fr/
License: GPLv2+
Description:
GHDL is a VHDL simulator, using the GCC technology. VHDL is a language
standardized by the IEEE, intended for developing electronic systems. GHDL
implements the VHDL language according to the IEEE 1076-1987 or the IEEE
1076-1993 standard. It compiles VHDL files and creates a binary that simulates
(or executes) your design. GHDL does not do synthesis: it cannot translate your
design into a netlist.

Since GHDL is a compiler (i.e., it generates object files), you can call
functions or procedures written in a foreign language, such as C, C++, or
Ada95.

Packages

ghdl-0.34dev-1.20160702git50d0507.0.el7.soc.x86_64 [11.0 MiB] Changelog by Thomas Sailer (2016-07-02):
- update to 0.34dev (git50d0507)
ghdl-0.34dev-0.20160702git50d0507.0.el7.fc22.x86_64 [11.0 MiB] Changelog by Thomas Sailer (2016-07-02):
- update to 0.34dev (git50d0507)

Listing created by Repoview-0.6.6-4.el7